多做题,通过考试没问题!

verilog

睦霖题库>大学试题(计算机科学)>verilog

下面是通过case语句实现四选一电路部分程序,将横线上的语句补上,使程序形成完整功能。

正确答案: case({s1,s0})
2’b00:out=i0;
2’b01:out=i1;
2’b10:out=i2;
2’b11:out=i3;
答案解析:
进入题库查看解析

微信扫一扫手机做题