多做题,通过考试没问题!

VHDL语言

睦霖题库>大学试题(计算机科学)>VHDL语言

结构体的描述方式有几种方式?各有什么特点?

正确答案: 1.行为描述方式:只需描述输入与输出的行为,不关注具体的电路实现,一般通过一组顺序的VHDL进程来反映设计的功能和算法;
2.数据流描述方式:这种描述将数据看成从设计的输入端到输出端,通过并行语句表示这些数据形式的改变,即信号到信号的数据流动的路径和形式进行描述;
3.结构描述方式:多用在多层次的设计中,通过调用库中得元件或已经设计好的元件,进行组合来完成实体功能的描述,它只表示元件和元件之间的互连.
答案解析:
进入题库查看解析

微信扫一扫手机做题