多做题,通过考试没问题!

VHDL语言

睦霖题库>大学试题(计算机科学)>VHDL语言

一个信号处于高阻(三态)时的值在VHDL中描述为()。

正确答案:‘Z’
答案解析:
进入题库查看解析

微信扫一扫手机做题