多做题,通过考试没问题!

VHDL语言

睦霖题库>大学试题(计算机科学)>VHDL语言

端口模式有哪几种?buffer类型与inout类型的端口有什么区别?

正确答案: Out, in, inout, buffer
out (输出):只能被赋值,用于不能反馈的输出;
in (输入):只能读,用于时钟输入、控制输入单向数据输入;
inout(输入输出) :既可读又可被赋值,被读的值是端口输入值而不是被赋值,作为双向端口。
buffer(缓冲):类似于输出,但可以读,读的值是被赋值,用做内部反馈用,不能作为双向端口使用。
答案解析:
进入题库查看解析

微信扫一扫手机做题