多做题,通过考试没问题!

VHDL语言

睦霖题库>大学试题(计算机科学)>VHDL语言

VHDL语言中std_logic类型取值()表示高阻,取值‘X’表示不确定。

正确答案:‘Z’
答案解析:
进入题库查看解析

微信扫一扫手机做题